Konsolenausgabe

Started by an SCM change
Building in workspace /var/lib/jenkins/jobs/Wurst StdLib/workspace
 > git rev-parse --is-inside-work-tree # timeout=10
Fetching changes from the remote Git repository
 > git config remote.origin.url https://github.com/peq/wurstStdlib.git # timeout=10
Fetching upstream changes from https://github.com/peq/wurstStdlib.git
 > git --version # timeout=10
 > git -c core.askpass=true fetch --tags --progress https://github.com/peq/wurstStdlib.git +refs/heads/*:refs/remotes/origin/*
 > git rev-parse refs/remotes/origin/master^{commit} # timeout=10
 > git rev-parse refs/remotes/origin/origin/master^{commit} # timeout=10
Checking out Revision 7ea2806aa56320bf00d6a9fa3615adfca851d66c (refs/remotes/origin/master)
 > git config core.sparsecheckout # timeout=10
 > git checkout -f 7ea2806aa56320bf00d6a9fa3615adfca851d66c
 > git rev-list 1617f915ff2ce4a5fd85847b479dfdffe986756c # timeout=10
[workspace] $ /bin/sh -xe /tmp/hudson6084054409077014287.sh
+ java -jar ../../Wurst/workspace/downloads/Wurstpack/wurstscript/wurstscript.jar ../../Wurst/workspace/downloads/Wurstpack/wurstscript/common.j ../../Wurst/workspace/downloads/Wurstpack/wurstscript/Blizzard.j ./
compilation finished (errors: 0, warnings: 6)
Warning in File EventHandling.wurst line 24:
 The assignment to local variable i is never read.
Warning in File LinkedList.wurst line 213:
 The assignment to local variable _ is never read.
Warning in File LinkedHashMap.wurst line 354:
 The assignment to local variable _ is never read.
Warning in File LinkedHashMap.wurst line 348:
 The assignment to local variable _ is never read.
Warning in File OnUnitEnterLeave.wurst line 6:
 The import Integer is never used.
Warning in File RegisterEvents.wurst line 3:
 The import TypeCasting is never used.
Finished: SUCCESS